Route jobs in India
752 route jobs found: showing 681 - 700
Cpu Physical Design Cad Engineer
Company: Qualcomm |
, integrate and release new features in our high-performance place-and-route CAD flow Architect and recommend methodology... of hands-on experience in place-and-route of high-performance chips - either in a design or CAD role High level of proficiency...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 21 Apr 2024
Physical Design Engineer
Company: Qualcomm |
Duties and responsibilities: Complete ownership on PNR implementation (Floorplanning, Placement, CTS, post_route...Location: Noida, Uttar Pradesh, India
| Salary: unspecified | Date posted: 21 Apr 2024
Senior Lead Software Engineer
Company: SimCorp |
company development. There is never just only one route - we practice an individual approach to professional development...Location: Noida, Uttar Pradesh, India
| Salary: unspecified | Date posted: 21 Apr 2024
Cpu Integration Cad Engineer
Company: Qualcomm |
Experience with a broad variety of Physical Design tasks - ranging all the way from place-and-route, analysis, timing sign-off.../Mentor Calibre Strong user of industry-standard place-and-route tools such as Cadence Innovus Proven track record...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 21 Apr 2024
Planning Engineer
Company: Koch Industries |
activity and also need to ensure for timely completion. Need to generate route card for hard wares and also need to coordinate...Location: Vadodara, Gujarat, India
| Salary: unspecified | Date posted: 21 Apr 2024
Cpu Synthesis Cad Engineer
Company: Qualcomm |
/Electronics Engineering or Computer Science Ten+ years of hands-on experience in synthesis/place-and-route of high-performance...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 21 Apr 2024
Ediscovery Technical Services Engineer - Remote India With Rotation In Europe
Company: George Jon, Inc. |
, CloudNine, and iPro software suites, with the ability to triage and route calls to appropriate technicians as required Assist...Location: India, India
| Salary: unspecified | Date posted: 20 Apr 2024
Low Power - Rtl Design Optimization Engineer
Company: Advanced Micro Devices |
to find power bugs, drive and lead design team to fix. explore advance synthesis and place&route methodology to further reduce...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 20 Apr 2024
Learning Routes - Associate - Business Development (0-2 Yrs) Gurgaon/gurugram/delhi Ncr/noida/bangalore (telesales/inside Sales/bpo)
Company: Learning Routes Pvt Ltd |
Business Development AssociateAbout Learning Routes :- Learning Routes is one of the leading education services startups catering to the needs of working professionals by offering them varied choices in management and technology programs fr...Location: India, India
| Salary: unspecified | Date posted: 20 Apr 2024
Layout Design, Staff Engineer
Company: Synopsys |
considerations. - Familiarity with ASIC physical design flow: LEF generation, Place & Route & understanding of top level...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 20 Apr 2024
Physical Design Cad Engineer
Company: Qualcomm |
technology and physical design concepts on flooplanning, place and route , CTS, physical verification and STA analysis...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 20 Apr 2024
Pest Control Technician - Qatar
Company: Rentokil Initial |
Job Description: About the company: Rentokil Boecker® is part of Rentokil Initial, a global route-based business...Location: Mumbai, Maharashtra, India
| Salary: unspecified | Date posted: 20 Apr 2024
Sr Education Application Engineer
Company: Cadence Design Systems |
tools Understanding of synthesis, floorplanning, clock tree synthesis, static timing analysis, and the place and route flow...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 20 Apr 2024
Layout Design, Sr Engineer
Company: Synopsys |
with ASIC physical design flow: LEF generation, Place & Route & understanding of top level verification flow, DRC/LVS, LPE...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 20 Apr 2024
Pest Control Technician - Qatar
Company: Rentokil Initial |
About the company: Rentokil Boecker® is part of Rentokil Initial, a global route-based business services company...Location: Mumbai, Maharashtra, India
| Salary: unspecified | Date posted: 19 Apr 2024
Executive - Sales - Fmcg (0-1 Yrs) Orrisa/ranchi (b2c/retail/channel Sales)
Company: e-commerce |
.- Market & Territory Knowledge is a must.- Must Understand Beat/Route Planning.- Self-Confidence and Presentation skills...Location: Ranchi, Jharkhand, India
| Salary: unspecified | Date posted: 19 Apr 2024
Lead Product Engineer (synthesis)
Company: Siemens |
-level implementation for complex digital IC designs. The detail-route-centric architecture and hierarchical database...Location: Bangalore, Karnataka, India
| Salary: unspecified | Date posted: 19 Apr 2024
Territory Manager
Company: Dr. Reddy's Laboratories |
lists, route maps, retailers, and other supply chain contacts in the internal database. You will be responsible...Location: Jalandhar, Punjab, India
| Salary: unspecified | Date posted: 19 Apr 2024
Service Route Executive
Company: Otis |
Date Posted: 2023-08-08 Country: India Location: No.8, 3rd Floor, Eureka Tower,Hubli - 580 029, India Code maintenance, call back attending and minor Repair works. If you live in a city, chances are we will give you a lift or play a...Location: Hubli-Dharwad, Karnataka, India
| Salary: unspecified | Date posted: 18 Apr 2024
Planning Executive
Company: White Force |
Job Description: Route Planner involves creating optimal routes for the distribution of goods. analyze distance...